Welcome![Sign In][Sign Up]
Location:
Search - sigma delta

Search list

[SCMlpc_16bit_ad

Description: SIGMA-DELTA 原理 实现的用LPC900系列单片机的比较器实现16位A/D。 有详细的说明和源代码(汇编格式,但是可以在KEIL下的C中调用)。-SIGMA-DELTA principle achieved using the LPC900 Series compared to 16-bit A/D. A detailed description and the source code (the compilation format, but in the C KEIL Calling).
Platform: | Size: 58368 | Author: 徐勇 | Hits:

[matlabsigma_delta

Description: filter design sigma delta filter-filter design sigma delta filter
Platform: | Size: 51200 | Author: 岳颀 | Hits:

[SCM123

Description: 用89C2051实现高精度Sigma-Delta ADC变化-89C2051 realize with high precision Sigma-Delta ADC changes
Platform: | Size: 53248 | Author: 王雪健 | Hits:

[Embeded-SCM DevelopSigma_Delta

Description: sigma-delta ADC轉換之matlab模型,整個系統都可模擬。-sigma-delta ADC conversion of matlab model, the whole system can be simulated.
Platform: | Size: 388096 | Author: hcjian | Hits:

[Embeded Linuxsigma_deltaDA

Description: 介绍sigma-delta DA,多数据率滤波的资料-Introduced the sigma-delta DA, multi-data-rate information filtering
Platform: | Size: 4061184 | Author: 狄中泉 | Hits:

[matlabdelsig

Description: 高阶sigma-delta调制器设计matlab工具包, 半波带滤波器设计工具包,内有使用说明-High-order sigma-delta modulator design matlab toolkit, half-wave band Filter Design Toolkit, which has the use of
Platform: | Size: 549888 | Author: 张鸿 | Hits:

[VHDL-FPGA-Verilogadc_verilog

Description: adc verilog 用verilog编写的sigma-delta adc例子 应用在计量类adc产品-adc verilog Verilog prepared using sigma-delta adc examples used in the measurement adc Product category
Platform: | Size: 3072 | Author: 张鸿 | Hits:

[matlabFractionalPLLDesign

Description: 是关于sigma delta PLL设计的详细论文,论文中有具体的设计细节,并在附录中有相应的matlab、vhdl code-Is about the design of sigma delta PLL detailed papers, papers in the specific design details, and in the appendix corresponding matlab, vhdl code
Platform: | Size: 3802112 | Author: xin | Hits:

[BooksB.S.Song01-MultibitDeltaSigmaModulationTechniqueFo

Description: For sigma-delta modulated Factional-N P
Platform: | Size: 3637248 | Author: ge binjie | Hits:

[Other2

Description: Improved modeling of sigma-delta modulator non-idealities in Simulink
Platform: | Size: 615424 | Author: 毕闯 | Hits:

[matlabADC2

Description: First end second order sigma-delta ADC Simulink model.
Platform: | Size: 36864 | Author: Vova | Hits:

[Special EffectsTRNG_on_SigmaDelta_Modulator_Thesis

Description: The intention of the work is to analyze the feasibility of using a Sigma-Delta modulator based analog-to-digital converter for true random number generation, whereas the noise source is integrated into modulator’s loop as well as design a Sigma-Delta modulator ADC circuit structure on 90nm CMOS technology and additional digital-signal processing blocks for generation of a random bit stream
Platform: | Size: 1990656 | Author: Hassan Abdelaziz | Hits:

[matlabMODELING_BAND_PASS_SIGMA_DELTA_MODULATORS_IN_SIMUL

Description: 如何在Simulink中搭建Sigma Delta ADC的非理想模型 MODELING BAND-PASS SIGMA-DELTA MODULATORS IN SIMULINK-MODELING BAND-PASS SIGMA-DELTA MODULATORS IN SIMULINK
Platform: | Size: 73728 | Author: Ma Yong | Hits:

[matlabModeling_Sigma_Delta_Modulator_Non_Idealities_in_S

Description: Modeling Sigma-Delta Modulator Non-Idealities in SIMULINK
Platform: | Size: 251904 | Author: Ma Yong | Hits:

[Windows DevelopSigma-DeltaADCtheory

Description: sigma-delta理论知识,可以作为基于FPGA的音频开发的辅助资料。-sigma-delta theory of knowledge, can be used as audio-based FPGA development of supporting information.
Platform: | Size: 274432 | Author: 丁弋 | Hits:

[VHDL-FPGA-Verilogverilogsigma-deltaadc

Description: 用verilog编写的sigma-deltaADC的源程序。-code of verilog for sigma delta ADC
Platform: | Size: 4096 | Author: 刘晓志 | Hits:

[Otherpwrdac

Description: This document describes sigma delta modulatin. Also DAC implementation techniques using sigma delta modulation. This dac is not a traditional DAC but it i a 1-bit DAC
Platform: | Size: 67584 | Author: shailesh | Hits:

[Software EngineeringADCMATLAB

Description: 通过MATLAB对过采样sigma-delta调制器进行仿真的设计方案,采用多级级联结构,有效减少运算量和硬件开销。-By MATLAB on the over-sampling sigma-delta modulator design simulation, using multi-stage cascade structure, effectively reduce the computational complexity and hardware overhead.
Platform: | Size: 231424 | Author: 王楚宏 | Hits:

[Software Engineeringaudiosample

Description: 适用于音频过采样DAC的插值滤波器的设计 一种通用便携式数字音频系统sigma-delta DAC中的插值滤波器。-Over-sampling DAC for audio interpolation filter design a general-purpose portable digital audio systems in the sigma-delta DAC interpolation filter.
Platform: | Size: 825344 | Author: 王楚宏 | Hits:

[matlabMultibit-Sigma-Delta-Modulator

Description: 一个经典的宽带多位sigma delta调制器设计,较为好用-More than a classic sigma delta modulator broadband design, the more useful
Platform: | Size: 958464 | Author: 李兴 | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net